Desarrollo de nuevas estrategias de sincronización para vlsi en sistemas de procesamiento rns

  1. González Castro, Daniel
Dirigida por:
  1. Antonio Lloris Ruiz Director
  2. Antonio García Director/a

Universidad de defensa: Universidad de Granada

Fecha de defensa: 12 de noviembre de 2012

Tribunal:
  1. Javier Garrido Salas Presidente/a
  2. Encarnación Castillo Morales Secretaria
  3. Javier Valls Vocal
  4. Guillermo González de Rivera Vocal
  5. Luis Parrilla Roure Vocal
Departamento:
  1. ELECTRÓNICA Y TECNOLOGÍA DE COMPUTADORES

Tipo: Tesis

Resumen

La investigación se ha centrado en la creación y aplicación de una nueva estrategia de sincronización para sistemas VLSI basados en el RNS (Residue Number System), basada en el uso de diferentes relojes con skew (desfase) inducido de manera controlada y aprovechando las especiales características de los sistemas de procesamiento digital de señales basados en la representación RNS. Se ha analizado y caracterizado una estrategia de sincronización basada en celdas parametrizables que son capaces de generar un desfase controlado sobre una única señal de reloj de entrada, de modo que se generan diferentes señales ligeramente desfasadas capaces de sincronizar diferentes partes de un sistema. La estrategia de sincronización es válida en general para cualquier sistema susceptible de ser descompuesto en diferentes bloques funcionales independientes o que funcionan en paralelo. En este caso se ha aplicado sobre sistemas RNS que se caracterizan porque realizan un procesamiento de los datos paralelo mediante diferentes canales. El sistema debe incluir la lógica necesaria para, en primer lugar descomponer el dato de entrada en diferentes residuos, procesar cada uno de los residuos en un canal diferente y, por último, convertir los resultados de cada canal de nuevo a lógica binaria, de manera que se mantenga la sincronía global a la entrada y salida del sistema aunque internamente el funcionamiento no sea síncrono. La estrategia desarrollada ha sido validada y analizada mediante simulación eléctrica exhaustiva de diferentes propuestas, utilizando diferentes bloques de procesamiento digital de señales como elementos de prueba, entre los que se incluyen filtros CIC, controladores PID y la transformada wavelet unidimensional (1D-DWT), todos ellos empleando la aritmética RNS. Como colofón, la estrategia propuesta se ha aplicado en estos mismos sistemas implementados en dispositivos lógicos programables, aprovechando los recursos actualmente disponibles para el control del skew de forma contraria a su propósito, es decir, para inducir skew controlado en las señales de reloj. Adicionalmente, esto ha permitido estudiar el impacto de esta estrategia de sincronización en el posible enmascaramiento de los diferentes modos de funcionamiento de los sistemas de prueba cuando son protegidos mediante técnicas de watermarking. Esto consiste en ocultar información dentro de un sistema de modo que, ante una secuencia de entrada previamente establecida, la salida del sistema demuestre la autoría o la propiedad del mismo. Esta ocultación de información se realiza desde la descripción HDL del módulo protegido. Los resultados experimentales muestran cómo la aplicación de varias señales levemente desfasadas supone una mejora en la protección de estos sistemas frente a ataques laterales que consisten en realizar medidas de consumo y retardos ante diferentes patrones de entrada de modo que se puede obtener valiosa información del funcionamiento interno del sistema.